A Comparison of ARM Cortex-A Series Processor Performance Classifications

In today's era of electronics, brands or appearances alone are no longer enough to tell the pros and cons of a product, and its built-in processors are naturally one of the criteria for distinguishing whether a product is high-end. So today we might as well take a good look at the more mainstream RAM processors in electronics in recent years.

ARM processors


Before we do that, let's take a brief look at the processor architecture. The so-called processor architecture is a specification given by CPU manufacturers to the same series of CPU products, the main purpose is to distinguish between different types of CPU important indications. At present, there are two main camps in the classification of CPU instruction set on the market, one is intel, AMD-led complex instruction set CPU, the other is IBM, ARM-led thin instruction set CPU. Different brands of CPUs have different product architectures, for example, Intel and AMD's CPUs are X86 architectures, IBM's CPUs are PowerPC architectures, and ARM's are ARM architectures.

Below we'll take a closer look at some of the A-Series processors that ARM has released in recent years. ARM's Cortex-A series of processors are suitable for applications with high computing requirements, rich operating systems, and interactive media and graphics experiences.

Cortex-A73

ARM Cortex-A73


This is ARM's latest A-Series processor released in 2016, the Cortex-A73 supports full-size ARMv8-A architecture, and the ARMv8-A is ARM's first processor architecture to support 64-bit instruction sets, including ARM TrustZone, NEON, virtualization, and encryption. So whether it's 32-bit or 64-bit, the Cortex-A73 provides the most adaptable mobile application eco-development environment. The Cortex-A73 includes a 128-bit AMBR 4 ACE interface and ARM's big.LITTLE system all-in-one interface, manufactured using state-of-the-art 10nm technology to provide continuous processing power 30% higher than the Cortex-A72, making it ideal for mobile and consumer devices. The Cortex-A73 processor has reached our partners' range of consumer electronics devices, including high-end smartphones, tablets, flip-flops, digital TVs.

Cortex-A72

ARM Cortex-A72


The Cortex-A72 was first released in early 2015 and is also based on the ARMv8-A architecture, using TSMC's 16nm FinFET manufacturing process, which enables the Cortex-A72 to deliver performance on the chip alone. It is also possible to combine the Cortex-A53 processor with the ARMCoreLinkTMCCI Cache Consistency Interconnect to form the ARMMbig.LITTLETM configuration for further energy efficiency. With the same mobile device battery life limitations, the Cortex-A72 delivers 3.5 times performance compared to Cortex-A15-based devices, and approximately 1.8 times more performance than the Cortex-A57, demonstrating superior overall power efficiency. The Cortex-A72 is one of the most widely used processors based on ARMv8-A architecture processors, with applications including high-end smartphones, large-screen mobile devices, enterprise network devices, servers, wireless kiosks, and digital televisions.

ARM SoM based on Cortex-A72 processor
FET1028A-C System on Module FET1046A-C System on Module
FET1028A-C SoM(NXP LS1028A) FET1046A-C SoM(NXP LS1046A)
FET3399-C System on Module FET3399K-C System on Module
FET3399-C SoM(Rockchip RK3399) FET3399K-C SoM(Rockchip RK3399K)

Cortex-A57

ARM Cortex-A57

The Cortex-A57 is ARM's flagship CPU for the CPU product line that started in 2013, 2014 and 2015, and it is also arm's first 64-bit ARMv8-A architecture CPU, and it maintains full backward compatibility with armv7 architecture through Arch32 execution. In addition to armv8's architectural benefits, the Cortex-A57 improves individual clock cycle performance by 20 to 40 percent more than the high-performance Cortex-A15 CPU. It also improves the design of the secondary cache and other components of the memory system, greatly improving energy efficiency. The Cortex-A57 will provide ultra-high-performance performance for mobile systems, with the help of big.LITTLE, SoC can do this at a very low average power consumption. Its main face is high-end computers, tablets and server products.

Cortex-A53

ARM Cortex-A53

ARM SoM based on Cortex-A53 processor
FETMX8MP-C System on Module FETMX8MM-C System on Module
FETMX8MP-C SoM(NXP i.MX8M Plus) FETMX8MM-C SoM(NXP i.MX8M Mini)
FETMX8MQ-C System on Module FET1012A-C System on Module
FETMX8MQ-C SoM(NXP i.MX8M) FET1012A-C SoM(NXP LS1012A)
FET1043A-C System on Module FETT507-C System on Module
FET1043A-C SoM(NXP LS1043A) FETT507-C SoM(Allwinner T507)

Cortex-A35

ARM Coretex-A35


The Cortex-A35 is a low-power CPU based on the ARMv8-A 64-bit architecture designed to replace the previous 32-bit Cortex-A7 and Cortex-A5 cores with a limited-order dual-emission design similar to the A53/A7, incorporating some new features of the A72 and redesigning the instruction prefill unit at the front end to improve branch prediction accuracy. In addition, the A35 also uses the A53 cache, memory architecture, can be configured 8-64KB first-level instruction and data cache, 128KB-1MB secondary cache, added NEON/FP unit, improve storage performance, support the double multiplication of the complete pipeline, but also for the CPU core, NEON pipeline are equipped with hardware retention status (independent power domain) to improve power management efficiency. At the same process and frequency, the A35 consumes about 10% less power than the A7 and delivers a 6-40% performance improvement. Compared to the A53, it retains 80-100% performance, but reduces power consumption by 32%, reduces area by 25%, and increases energy efficiency by 25%. A35 can also be combined with the A53, A57, A72 and other large core, forming big. LITTLE hybrid architecture system to further improve system energy efficiency. It is mainly located in low-power low-end mobile phones, wearable, Internet of Things and other fields.

Cortex-A32

ARM Cortex-A32


This is the only processor in ARM's next-generation architecture with a 32-bit (ARMv7-A) architecture, but the A32 is like a 32-bit version of the A35, with the obvious goal of further controlling power consumption over the already inverse A35. The A32 architecture focuses on chip area, power control, and power consumption ratio, staying at 32 bits (ARMv7-A instruction set), instruction preduction units are redesigned for efficiency, and first- and second-stage staging, floating-point, and DSP operating performance is improved for speed, with new power management features introduced. It supports TrustZone secure encryption, NEON SIMD instruction sets, DSP/SIMD extensions, VFPv4 floating-point computing, virtual hardware, and more. The A32 delivers the same performance as the A35 in 32-bit positions. However, it consumes less power, making it 10% more efficient than the A35, 30% higher than the A5, and 25% higher than the A7. The A35 can achieve A53 80-100% performance by increasing the frequency, which means that the A32 can achieve the same performance level at 32 bits, when the chip area is only 68% of the A53 and the power consumption is only 61% of the A53.

At 64, the A35 has the power to replace the A53 architecture, while the A32 is already the perfect place for everyone in the 32nd, and the 32-bit A32 is better suited for wearables and IoT products than the 64-bit A35 architecture.

Cortex-A17

ARM Cortex-A17


The Cortex-A15 was first released in 2010 and is based on a 32-bit ARMv7-A architecture. The A15 and A9 also have disorderly execution, but Cortex-A15 has (twice) instruction launch ports and execution resources, instruction decoding capacity is 50% higher, dynamic branch prediction is stronger (with multi-level branch table cache), and instruction pick-up bandwidth is stronger (128 bit vs 64 bit), which makes A15 pipeline execution more efficient. In addition, the A15 uses the VFPv4 floating-point unit design to execute FMA instructions and hardware division instructions, compared to the peak vector floating-point performance of the A9 is basically only half of the A15. Cortex-A15 processors can be used on devices such as smartphones, tablets, mobile computing, high-end digital appliances, servers, and wireless infrastructure.

Cortex-A9

ARM Cortex-A9


The ARM Cortex-A9 uses the ARMv7-A architecture, and most of the quad-core processors we see today belong to the Cortex-A9 family. Designed to create a state-of-the-art, efficient, dynamically variable-length, multi-instruction overhead architecture, the Cortex-A9 processor delivers an 8-stage pipeline processor that performs in disorderly guesswork with the capabilities needed for cutting-edge products in a wide range of consumer, network, enterprise, and mobile applications, combining high performance and energy efficiency. The Cortex-A9 microarchitecture can be used for both scalable multicore processors (Cortex-A9 MPCore multicore processors) and more traditional processors (Cortex-A9 single-core processors). Scalable multicore and single-core processors support L1 cache configurations associated with 16, 32, or 64KB 4 paths, and up to 8MB of L2 cache configurations for optional L2 cache controllers, all with high flexibility for specific applications and markets.

ARM SoM based on Cortex-A9 processor
FETMX6Q-C System on Module FETMX6Q-S System on Module
FETMX6Q-C SoM(NXP i.MX6Q) FETMX6Q-S SoM(NXP i.MX6Q)
FETMX6DL-S System on Module FETMX6DL-C SoM
FETMX6DL-S SoM(NXP i.MX6DL) FETMX6DL-C SoM(NXP i.MX6DL)

Cortex-A8

ARM Cortex-A8


The ARM Cortex-A8 processor, based on the ARMv7-A architecture, is the most common product used in single-core phones today. The Cortex-A8 processor is the first ARMv7-based product to increase speed from 600MHz to more than 1GHz. The Cortex-A8 processor meets the power optimization requirements of mobile devices that require operations below 300mW, and the performance optimization requirements for consumer applications requiring 2000 Dhrystone MIPS. Cortex-A8 high-performance processors are now well established, providing reliable, high-performance solutions from mobile phones to netbooks, DTVs, printers, and automotive infotainment.

ARM SoM based on Cortex-A8 processor
Forlinx AM335x SoM FET335xD SoM
FET335xS System-on-module(AM335x) FET335xD System-on-module(AM335x)
FET335xS-II System on Module OK335xD Single Board Computer
FET335xS-II System-on-module(AM335x) OK335xD SBC(based on FET335xD SoM)
OK335xS-II Single Board Computer OK335xS Single Board Computer
OK335xS-II SBC(based on FET335xS-II SoM) OK335xS SBC(based on FET335xS SoM)

Cortex-A7

ARM Cortex-A7

The Cortex-A7 features an ARMv7-A architecture that provides excellent low-power performance based on guaranteed performance. The Cortex-A7 processor has exactly the same architecture and feature set as the Cortex-A15 processor, except that the microarchitecture of the Cortex-A7 processor focuses on providing optimal energy efficiency, so both processors can be Little (size core companion structure) configurations work together to provide the ultimate combination of high performance and ultra-low power consumption. A single Cortex-A7 processor is five times more energy efficient than a Cortex-A8 processor, with a 50% performance improvement, compared with a fifth of the size of the latter.

ARM SoM based on Cortex-A7 processor
Industrial-grade FETMX6UL System on Module FETMX6ULL-C System on Module
FETMX6UL SoM(NXP i.MX6UL) FETMX6ULL-C SoM(NXP i.MX6ULL)
FETA40i-C System on Module FETT3-C SoM
FETA40i-C SoM(Allwinner A40i) FETT3-C SoM(Allwinner T3)

Cortex-A5

ARM Cortex-A5

The Cortex-A5 processor is also based on the ARMv7-A architecture, the most energy-efficient and cost-effective processor that provides the most basic Internet access to the widest range of devices. The Cortex-A5 processor is fully compatible with the higher-performance Cortex-A8, Cortex-A9, and Cortex-A15 processors in terms of instructions and functionality - all the way to the operating system level. The Cortex-A5 processor also maintains backward application compatibility with classic ARM processors, including ARM926EJ-S, ARM1176JZ-S, and ARM7TDMI?. It is positioned from entry-level smartphones, low-cost mobile and smart mobile devices, and basic industrial devices.

To introduce you to a more intuitive feeling, take a look at this diagram below

ARM Cortex-A series

As shown in the figure, the green part is v7-A architecture, blue is v8-A architecture, basically green can support to 32 and 64 bits, except A32, only support to 32 bits. In each part on the right, such as the need for high efficiency of the top A15-A73 this part is the most efficient, the next is more attention to the overall efficiency of the part, the middle part is more efficient, the bottom column is the best efficiency, in terms of battery performance to achieve the best standards.

If you have to give them a sort, the high to low can be sorted roughly as: Cortex-A73 processor, Cortex-A72 processor, Cortex-A57 processor, Cortex-A53 processor, Cortex-A35 processor, Cortex-A32 processor, Cortex-A17 processor, Cortex-A15 processor, Cortex-A7 processor, Cortex-A9 processor, Cortex-A8 processor, Cortex-A5 processor.